Index index by Group index by Distribution index by Vendor index by creation date index by Name Mirrors Help Search

python311-debugpy-1.8.1-2.1 RPM for riscv64

From OpenSuSE Ports Tumbleweed for riscv64

Name: python311-debugpy Distribution: openSUSE Tumbleweed
Version: 1.8.1 Vendor: openSUSE
Release: 2.1 Build date: Thu Mar 28 13:55:24 2024
Group: Unspecified Build host: reproducible
Size: 12240023 Source RPM: python-debugpy-1.8.1-2.1.src.rpm
Packager: https://bugs.opensuse.org
Url: https://github.com/microsoft/debugpy/
Summary: An implementation of the Debug Adapter Protocol for Python
debugpy is an implementation of the Debug Adapter Protocol for Python.

Provides

Requires

License

MIT

Changelog

* Thu Mar 28 2024 ecsos <ecsos@opensuse.org>
  - Fix build error in Leap.
* Thu Feb 15 2024 Daniel Garcia <daniel.garcia@suse.com>
  - Skip attach_pid tests that fails in OBS run environment bsc#1219921
* Wed Feb 14 2024 Daniel Garcia <daniel.garcia@suse.com>
  - Update to 1.8.1:
    - Python 3.12 is now fully supported.
    - Fixes:
    - Can no longer expand Numpy arrays to view elements #1408
    - Namedtuple are not rendered in the variable browser as expected #1477
    - Enhancements:
    - Report sockets opened by debugpy #1337
* Mon Jan 29 2024 Daniel Garcia <daniel.garcia@suse.com>
  - Disable broken tests in s390x, bsc#1217019
* Tue Dec 05 2023 Dirk Müller <dmueller@suse.com>
  - remove python 3.6 support as the version update to 1.8.0 dropped
    it
* Mon Nov 20 2023 Daniel Garcia <daniel.garcia@suse.com>
  - Increase timeouts for tests, bsc#1217019
* Fri Nov 17 2023 Matej Cepl <mcepl@cepl.eu>
  - Update to 1.8.0:
    - Error while enumerating installed packages.
    - Drops Python 3.7 support. Please use debugpy v1.7.0 if you
      need to debug Python 3.7.
    - Remove DeprecationWarning: Deprecated call to
      pkg_resources.declare_namespace('pydevd_plugins.extensions')
    - Fix invalid file hashes in RECORD of wheels
    - Support "restart" in "terminated" event for "attach"{"listen"}
    - Use "startDebugging" request for subprocesses
  - Remove upstreamed patch setuptools-67.3.0.patch
  - Skip test test_attach_pid_client (gh#microsoft/debugpy#1462)
* Fri May 19 2023 Jiri Srain <jsrain@suse.com>
  - refresh the tarball from upstream
* Thu Mar 16 2023 Daniel Garcia <daniel.garcia@suse.com>
  - Add setuptools-67.3.0.patch to fix test issues with new setuptools.
    gh#microsoft/debugpy#1230
* Thu Feb 02 2023 Dirk Müller <dmueller@suse.com>
  - skip more tests for python 3.11
* Mon Jan 23 2023 Dirk Müller <dmueller@suse.com>
  - update to v1.6.6:
    * Disable optimization causing issues when debugging Python 3.10 and 3.11.
* Fri Jan 20 2023 Dirk Müller <dmueller@suse.com>
  - update to v1.6.5:
    * Fixes: #1078, #1129, #1138, #1142, #1146, #1148, #1154
* Thu Dec 01 2022 Daniel Garcia <daniel.garcia@suse.com>
  - Update to version 1.6.4
    Fixes: #985, #1003, #1005, #1018, #1024, #1025, #1030, #1031, #1042, #1064,
      [#1081], #1100, #1104, #1111, #1126
    Improvements: #532, #989, #1022, #1056, #1099
* Wed Oct 12 2022 Yogalakshmi Arunachalam <yarunachalam@suse.com>
  - Update to version 1.6.3
    Fixes: #869, #973, #987, #995, #1008
    Improvements: #951, #1001
* Mon Dec 20 2021 Ben Greiner <code@bnavigator.de>
  - Update to 1.5.1
    * no release notes other than a bunch of github PR and issue
      numbers: https://github.com/microsoft/debugpy/releases
    * Python 3.10 is now fully supported
  - Drop pydevd-openSUSE-attach-autoarch.patch, upstream changed
    architecture support logic
  - Drop correct-pthread-library-name.patch merged upstream
  - Remove performance tweak not compatible with older gdb
    * gh#microsoft/debugpy#762
* Thu Sep 02 2021 Steve Kowalik <steven.kowalik@suse.com>
  - Add correct-pthread-library-name.patch:
    * Look for the correct pthread library.
* Tue Jun 29 2021 Ben Greiner <code@bnavigator.de>
  - Update to 1.3.0
    * no release notes.
  - new requirement for ipykernel 6
  - enable testsuite (multibuild)
  - defer debundling pydevd
  - add pydevd-openSUSE-attach-autoarch.patch for support of
    non-intel architectures when injecting the debug library
  - skip python36 in order to save resources

Files

/usr/lib64/python3.11/site-packages/debugpy
/usr/lib64/python3.11/site-packages/debugpy-1.8.1-py3.11.egg-info
/usr/lib64/python3.11/site-packages/debugpy-1.8.1-py3.11.egg-info/PKG-INFO
/usr/lib64/python3.11/site-packages/debugpy-1.8.1-py3.11.egg-info/SOURCES.txt
/usr/lib64/python3.11/site-packages/debugpy-1.8.1-py3.11.egg-info/dependency_links.txt
/usr/lib64/python3.11/site-packages/debugpy-1.8.1-py3.11.egg-info/top_level.txt
/usr/lib64/python3.11/site-packages/debugpy/ThirdPartyNotices.txt
/usr/lib64/python3.11/site-packages/debugpy/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/__main__.py
/usr/lib64/python3.11/site-packages/debugpy/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/__pycache__/__main__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/__pycache__/__main__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/__pycache__/_version.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/__pycache__/_version.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/__pycache__/public_api.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/__pycache__/public_api.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored
/usr/lib64/python3.11/site-packages/debugpy/_vendored/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/__pycache__/_pydevd_packaging.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/__pycache__/_pydevd_packaging.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/__pycache__/_util.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/__pycache__/_util.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/__pycache__/force_pydevd.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/__pycache__/force_pydevd.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/_pydevd_packaging.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/_util.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/force_pydevd.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_app_engine_debug_startup.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_app_engine_debug_startup.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_coverage.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_coverage.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_pysrc.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_pysrc.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_run_in_console.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_run_in_console.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevconsole.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevconsole.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevd.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevd.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevd_file_utils.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevd_file_utils.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevd_tracing.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevd_tracing.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/setup_pydevd_cython.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/setup_pydevd_cython.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_calltip_util.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_calltip_util.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_completer.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_completer.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_execfile.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_execfile.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_filesystem_encoding.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_filesystem_encoding.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_getopt.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_getopt.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_imports_tipper.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_imports_tipper.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_jy_imports_tipper.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_jy_imports_tipper.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_log.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_log.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_saved_modules.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_saved_modules.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_sys_patch.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_sys_patch.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_tipper_common.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_tipper_common.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_console_utils.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_console_utils.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_import_hook.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_import_hook.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_imports.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_imports.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_ipython_console.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_ipython_console.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_ipython_console_011.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_ipython_console_011.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_is_thread_alive.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_is_thread_alive.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_localhost.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_localhost.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_log.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_log.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_monkey.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_monkey.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_monkey_qt.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_monkey_qt.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_override.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_override.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_umd.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_umd.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_versioncheck.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_versioncheck.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_calltip_util.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_completer.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_execfile.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_filesystem_encoding.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_getopt.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_imports_tipper.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_jy_imports_tipper.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_log.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_saved_modules.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_sys_patch.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_tipper_common.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/fsnotify
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/fsnotify/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/fsnotify/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/fsnotify/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/fsnotify/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_console_utils.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_import_hook.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_imports.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_ipython_console.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_ipython_console_011.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_is_thread_alive.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_localhost.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_log.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_monkey.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_monkey_qt.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_override.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_umd.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_versioncheck.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_coverage.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_coverage.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_nose.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_nose.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_parallel.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_parallel.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_parallel_client.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_parallel_client.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_pytest2.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_pytest2.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_unittest.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_unittest.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_xml_rpc.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_xml_rpc.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_coverage.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_nose.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_parallel.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_parallel_client.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_pytest2.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_unittest.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_xml_rpc.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevconsole_code.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevconsole_code.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_additional_thread_info.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_additional_thread_info.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_additional_thread_info_regular.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_additional_thread_info_regular.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_api.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_api.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_breakpoints.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_breakpoints.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_bytecode_utils.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_bytecode_utils.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_code_to_source.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_code_to_source.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_collect_bytecode_info.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_collect_bytecode_info.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_comm.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_comm.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_comm_constants.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_comm_constants.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_command_line_handling.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_command_line_handling.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_console.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_console.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_constants.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_constants.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_custom_frames.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_custom_frames.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_cython_wrapper.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_cython_wrapper.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_daemon_thread.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_daemon_thread.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_defaults.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_defaults.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_dont_trace.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_dont_trace.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_dont_trace_files.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_dont_trace_files.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_exec2.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_exec2.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_extension_api.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_extension_api.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_extension_utils.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_extension_utils.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_filtering.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_filtering.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_frame.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_frame.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_frame_utils.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_frame_utils.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_gevent_integration.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_gevent_integration.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_import_class.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_import_class.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_io.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_io.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_json_debug_options.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_json_debug_options.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_net_command.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_net_command.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_net_command_factory_json.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_net_command_factory_json.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_net_command_factory_xml.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_net_command_factory_xml.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_plugin_utils.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_plugin_utils.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_process_net_command.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_process_net_command.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_process_net_command_json.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_process_net_command_json.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_referrers.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_referrers.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_reload.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_reload.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_resolver.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_resolver.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_runpy.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_runpy.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_safe_repr.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_safe_repr.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_save_locals.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_save_locals.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_signature.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_signature.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_source_mapping.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_source_mapping.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_stackless.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_stackless.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_suspended_frames.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_suspended_frames.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_thread_lifecycle.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_thread_lifecycle.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_timeout.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_timeout.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_trace_api.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_trace_api.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_trace_dispatch.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_trace_dispatch.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_trace_dispatch_regular.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_trace_dispatch_regular.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_traceproperty.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_traceproperty.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_utils.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_utils.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_vars.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_vars.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_vm_type.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_vm_type.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_xml.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_xml.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__main__pydevd_gen_debug_adapter_protocol.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/__main__pydevd_gen_debug_adapter_protocol.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/__main__pydevd_gen_debug_adapter_protocol.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/pydevd_base_schema.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/pydevd_base_schema.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/pydevd_schema.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/pydevd_schema.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/pydevd_schema_log.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/pydevd_schema_log.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/debugProtocol.json
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/debugProtocolCustom.json
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/pydevd_base_schema.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/pydevd_schema.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/pydevd_schema_log.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevconsole_code.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_additional_thread_info.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_additional_thread_info_regular.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_api.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_breakpoints.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_bytecode_utils.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_code_to_source.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_collect_bytecode_info.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_comm.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_comm_constants.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_command_line_handling.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__pycache__/pydevd_concurrency_logger.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__pycache__/pydevd_concurrency_logger.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__pycache__/pydevd_thread_wrappers.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__pycache__/pydevd_thread_wrappers.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/pydevd_concurrency_logger.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/pydevd_thread_wrappers.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_console.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_constants.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_custom_frames.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_cython.cpython-311-riscv64-linux-gnu.so
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_cython_wrapper.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_daemon_thread.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_defaults.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_dont_trace.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_dont_trace_files.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_exec2.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_extension_api.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_extension_utils.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_filtering.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_frame.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_frame_utils.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_gevent_integration.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_import_class.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_io.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_json_debug_options.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_net_command.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_net_command_factory_json.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_net_command_factory_xml.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_plugin_utils.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_process_net_command.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_process_net_command_json.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_referrers.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_reload.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_resolver.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_runpy.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_safe_repr.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_save_locals.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_signature.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_source_mapping.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_stackless.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_suspended_frames.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_thread_lifecycle.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_timeout.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_trace_api.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_trace_dispatch.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_trace_dispatch_regular.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_traceproperty.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_utils.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_vars.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_vm_type.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_xml.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_frame_eval_cython_wrapper.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_frame_eval_cython_wrapper.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_frame_eval_main.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_frame_eval_main.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_frame_tracing.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_frame_tracing.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_modify_bytecode.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_modify_bytecode.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/pydevd_frame_eval_cython_wrapper.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/pydevd_frame_eval_main.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/pydevd_frame_tracing.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/pydevd_modify_bytecode.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/README.txt
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/__pycache__/pydevd_fix_code.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/__pycache__/pydevd_fix_code.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/COPYING
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/INSTALLER
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/METADATA
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/RECORD
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/REQUESTED
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/WHEEL
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/direct_url.json
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/top_level.txt
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/bytecode.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/bytecode.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/cfg.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/cfg.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/concrete.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/concrete.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/flags.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/flags.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/instr.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/instr.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/peephole_opt.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/peephole_opt.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/bytecode.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/cfg.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/concrete.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/flags.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/instr.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/peephole_opt.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_bytecode.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_bytecode.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_cfg.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_cfg.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_code.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_code.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_concrete.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_concrete.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_flags.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_flags.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_instr.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_instr.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_misc.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_misc.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_peephole_opt.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_peephole_opt.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/util_annotation.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/util_annotation.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_bytecode.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_cfg.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_code.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_concrete.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_flags.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_instr.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_misc.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_peephole_opt.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/util_annotation.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/pydevd_fix_code.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_app_engine_debug_startup.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_coverage.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/README
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhook.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhook.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookglut.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookglut.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookgtk.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookgtk.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookgtk3.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookgtk3.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookpyglet.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookpyglet.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookqt4.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookqt4.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookqt5.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookqt5.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhooktk.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhooktk.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookwx.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookwx.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/matplotlibtools.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/matplotlibtools.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/qt.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/qt.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/qt_for_kernel.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/qt_for_kernel.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/qt_loaders.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/qt_loaders.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/version.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/version.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhook.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookglut.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookgtk.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookgtk3.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookpyglet.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookqt4.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookqt5.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhooktk.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookwx.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/matplotlibtools.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/qt.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/qt_for_kernel.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/qt_loaders.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/version.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_pysrc.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_run_in_console.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_sitecustomize
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_sitecustomize/__not_in_default_pythonpath.txt
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_sitecustomize/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_sitecustomize/__pycache__/sitecustomize.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_sitecustomize/__pycache__/sitecustomize.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_sitecustomize/sitecustomize.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevconsole.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/README.txt
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_always_live_program.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_always_live_program.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_check.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_check.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_test_attach_to_process.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_test_attach_to_process.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_test_attach_to_process_linux.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_test_attach_to_process_linux.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/add_code_to_python_process.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/add_code_to_python_process.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/attach_pydevd.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/attach_pydevd.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/attach_script.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/attach_script.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/_always_live_program.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/_check.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/_test_attach_to_process.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/_test_attach_to_process_linux.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/add_code_to_python_process.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/attach_pydevd.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/attach_riscv64.so
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/attach_script.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/breakpoint.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/breakpoint.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/compat.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/compat.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/crash.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/crash.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/debug.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/debug.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/disasm.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/disasm.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/event.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/event.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/interactive.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/interactive.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/module.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/module.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/process.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/process.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/registry.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/registry.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/search.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/search.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/sql.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/sql.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/system.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/system.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/textio.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/textio.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/thread.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/thread.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/util.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/util.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/window.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/window.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/breakpoint.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/compat.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/crash.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/debug.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/disasm.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/event.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/interactive.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/module.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/process.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/registry.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/search.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/sql.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/system.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/textio.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/thread.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/util.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/advapi32.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/advapi32.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/context_amd64.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/context_amd64.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/context_i386.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/context_i386.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/dbghelp.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/dbghelp.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/defines.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/defines.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/gdi32.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/gdi32.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/kernel32.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/kernel32.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/ntdll.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/ntdll.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/peb_teb.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/peb_teb.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/psapi.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/psapi.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/shell32.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/shell32.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/shlwapi.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/shlwapi.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/user32.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/user32.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/version.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/version.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/wtsapi32.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/wtsapi32.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/advapi32.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/context_amd64.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/context_i386.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/dbghelp.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/defines.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/gdi32.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/kernel32.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/ntdll.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/peb_teb.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/psapi.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/shell32.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/shlwapi.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/user32.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/version.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/wtsapi32.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/window.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_file_utils.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/django_debug.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/django_debug.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/jinja2_debug.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/jinja2_debug.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/pydevd_line_validation.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/pydevd_line_validation.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/django_debug.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/README.md
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_helpers.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_helpers.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_plugin_numpy_types.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_plugin_numpy_types.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_plugin_pandas_types.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_plugin_pandas_types.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_plugins_django_form_str.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_plugins_django_form_str.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/pydevd_helpers.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/pydevd_plugin_numpy_types.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/pydevd_plugin_pandas_types.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/pydevd_plugins_django_form_str.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/jinja2_debug.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/pydevd_line_validation.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_tracing.py
/usr/lib64/python3.11/site-packages/debugpy/_vendored/pydevd/setup_pydevd_cython.py
/usr/lib64/python3.11/site-packages/debugpy/_version.py
/usr/lib64/python3.11/site-packages/debugpy/adapter
/usr/lib64/python3.11/site-packages/debugpy/adapter/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/adapter/__main__.py
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/__main__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/__main__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/clients.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/clients.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/components.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/components.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/launchers.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/launchers.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/servers.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/servers.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/sessions.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/__pycache__/sessions.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/adapter/clients.py
/usr/lib64/python3.11/site-packages/debugpy/adapter/components.py
/usr/lib64/python3.11/site-packages/debugpy/adapter/launchers.py
/usr/lib64/python3.11/site-packages/debugpy/adapter/servers.py
/usr/lib64/python3.11/site-packages/debugpy/adapter/sessions.py
/usr/lib64/python3.11/site-packages/debugpy/common
/usr/lib64/python3.11/site-packages/debugpy/common/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/json.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/json.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/log.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/log.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/messaging.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/messaging.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/singleton.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/singleton.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/sockets.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/sockets.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/stacks.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/stacks.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/timestamp.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/timestamp.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/util.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/__pycache__/util.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/common/json.py
/usr/lib64/python3.11/site-packages/debugpy/common/log.py
/usr/lib64/python3.11/site-packages/debugpy/common/messaging.py
/usr/lib64/python3.11/site-packages/debugpy/common/singleton.py
/usr/lib64/python3.11/site-packages/debugpy/common/sockets.py
/usr/lib64/python3.11/site-packages/debugpy/common/stacks.py
/usr/lib64/python3.11/site-packages/debugpy/common/timestamp.py
/usr/lib64/python3.11/site-packages/debugpy/common/util.py
/usr/lib64/python3.11/site-packages/debugpy/launcher
/usr/lib64/python3.11/site-packages/debugpy/launcher/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/launcher/__main__.py
/usr/lib64/python3.11/site-packages/debugpy/launcher/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/launcher/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/launcher/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/launcher/__pycache__/__main__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/launcher/__pycache__/__main__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/launcher/__pycache__/debuggee.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/launcher/__pycache__/debuggee.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/launcher/__pycache__/handlers.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/launcher/__pycache__/handlers.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/launcher/__pycache__/output.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/launcher/__pycache__/output.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/launcher/__pycache__/winapi.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/launcher/__pycache__/winapi.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/launcher/debuggee.py
/usr/lib64/python3.11/site-packages/debugpy/launcher/handlers.py
/usr/lib64/python3.11/site-packages/debugpy/launcher/output.py
/usr/lib64/python3.11/site-packages/debugpy/launcher/winapi.py
/usr/lib64/python3.11/site-packages/debugpy/public_api.py
/usr/lib64/python3.11/site-packages/debugpy/server
/usr/lib64/python3.11/site-packages/debugpy/server/__init__.py
/usr/lib64/python3.11/site-packages/debugpy/server/__pycache__
/usr/lib64/python3.11/site-packages/debugpy/server/__pycache__/__init__.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/server/__pycache__/__init__.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/server/__pycache__/api.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/server/__pycache__/api.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/server/__pycache__/attach_pid_injected.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/server/__pycache__/attach_pid_injected.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/server/__pycache__/cli.cpython-311.opt-1.pyc
/usr/lib64/python3.11/site-packages/debugpy/server/__pycache__/cli.cpython-311.pyc
/usr/lib64/python3.11/site-packages/debugpy/server/api.py
/usr/lib64/python3.11/site-packages/debugpy/server/attach_pid_injected.py
/usr/lib64/python3.11/site-packages/debugpy/server/cli.py


Generated by rpm2html 1.8.1

Fabrice Bellet, Tue Apr 30 23:58:22 2024