Index index by Group index by Distribution index by Vendor index by creation date index by Name Mirrors Help Search

verilator-4.226-2.fc38 RPM for aarch64

From Fedora 38 for aarch64 / v

Name: verilator Distribution: Fedora Project
Version: 4.226 Vendor: Fedora Project
Release: 2.fc38 Build date: Sat Jan 21 07:20:10 2023
Group: Unspecified Build host: buildhw-a64-21.iad2.fedoraproject.org
Size: 67998903 Source RPM: verilator-4.226-2.fc38.src.rpm
Packager: Fedora Project
Url: https://veripool.org/verilator/
Summary: A fast simulator for synthesizable Verilog
Verilator is the fastest free Verilog HDL simulator. It compiles
synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis
assertions into C++ or SystemC code. It is designed for large projects
where fast simulation performance is of primary concern, and is
especially well suited to create executable models of CPUs for
embedded software design teams.

Provides

Requires

License

LGPLv3 or Artistic 2.0

Changelog

* Sat Jan 21 2023 Fedora Release Engineering <releng@fedoraproject.org> - 4.226-2
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_38_Mass_Rebuild
* Sat Sep 24 2022 Filipe Rosset <rosset.filipe@gmail.com> - 4.226-1
  - Update to 4.226, enabled tests, spec cleanup and modernization
  - Fixes rhbz#1933296 rhbz#2047099 and rhbz#2026957
* Sat Jul 23 2022 Fedora Release Engineering <releng@fedoraproject.org> - 4.108-5
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_37_Mass_Rebuild
* Sat Jan 22 2022 Fedora Release Engineering <releng@fedoraproject.org> - 4.108-4
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_36_Mass_Rebuild
* Fri Jul 23 2021 Fedora Release Engineering <releng@fedoraproject.org> - 4.108-3
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_35_Mass_Rebuild
* Wed Jan 27 2021 Fedora Release Engineering <releng@fedoraproject.org> - 4.108-2
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_34_Mass_Rebuild
* Mon Jan 25 2021 Filipe Rosset <rosset.filipe@gmail.com> - 4.108-1
  - Update to 4.108 fixes rhbz#1901487

Files

/usr/bin/verilator
/usr/bin/verilator_bin
/usr/bin/verilator_bin_dbg
/usr/bin/verilator_coverage
/usr/bin/verilator_coverage_bin_dbg
/usr/bin/verilator_gantt
/usr/bin/verilator_profcfunc
/usr/lib/.build-id
/usr/lib/.build-id/0c
/usr/lib/.build-id/0c/d56263ae12e83fa62edd69c5645fded964b5ec
/usr/lib/.build-id/3a
/usr/lib/.build-id/3a/9e270ecb8dd1f27a9f2ea64b45456d214a5641
/usr/lib/.build-id/3f
/usr/lib/.build-id/3f/6177c3ff212086f147a97c66103b0b516123d2
/usr/lib/.build-id/54
/usr/lib/.build-id/54/e183df5e08ed697c3d95cd413d23da1d80bc36
/usr/lib/.build-id/70
/usr/lib/.build-id/70/09d1798d59e1e22968cb03826c97eeddd59084
/usr/lib/.build-id/89
/usr/lib/.build-id/89/3fbd0453a46ea97657b7fbd1f30d5faf97145a
/usr/lib/.build-id/c5
/usr/lib/.build-id/c5/f399a14877d8ef503f40082f663cc91e776070
/usr/lib64/pkgconfig/verilator.pc
/usr/share/doc/verilator
/usr/share/doc/verilator/Changes
/usr/share/doc/verilator/README.rst
/usr/share/doc/verilator/docs
/usr/share/doc/verilator/docs/CONTRIBUTING.rst
/usr/share/doc/verilator/docs/CONTRIBUTORS
/usr/share/doc/verilator/docs/Makefile
/usr/share/doc/verilator/docs/_static
/usr/share/doc/verilator/docs/_static/css
/usr/share/doc/verilator/docs/_static/css/vlt_sphinx.css
/usr/share/doc/verilator/docs/_static/verilator_192_150_min.png
/usr/share/doc/verilator/docs/_static/verilator_32x32_min.png
/usr/share/doc/verilator/docs/_static/verilator_logo.png
/usr/share/doc/verilator/docs/bin
/usr/share/doc/verilator/docs/bin/vl_sphinx_extract
/usr/share/doc/verilator/docs/bin/vl_sphinx_fix
/usr/share/doc/verilator/docs/gen
/usr/share/doc/verilator/docs/gen/ex_DIDNOTCONVERGE_faulty.rst
/usr/share/doc/verilator/docs/gen/ex_DIDNOTCONVERGE_msg.rst
/usr/share/doc/verilator/docs/gen/ex_DIDNOTCONVERGE_nodbg_msg.rst
/usr/share/doc/verilator/docs/gen/ex_MULTIDRIVEN_faulty.rst
/usr/share/doc/verilator/docs/gen/ex_MULTIDRIVEN_msg.rst
/usr/share/doc/verilator/docs/gen/ex_STMTDLY_faulty.rst
/usr/share/doc/verilator/docs/gen/ex_STMTDLY_msg.rst
/usr/share/doc/verilator/docs/gen/ex_USERERROR_faulty.rst
/usr/share/doc/verilator/docs/gen/ex_USERERROR_msg.rst
/usr/share/doc/verilator/docs/gen/ex_USERFATAL_faulty.rst
/usr/share/doc/verilator/docs/gen/ex_USERFATAL_msg.rst
/usr/share/doc/verilator/docs/gen/ex_USERINFO_faulty.rst
/usr/share/doc/verilator/docs/gen/ex_USERINFO_msg.rst
/usr/share/doc/verilator/docs/gen/ex_USERWARN_faulty.rst
/usr/share/doc/verilator/docs/gen/ex_USERWARN_msg.rst
/usr/share/doc/verilator/docs/gen/ex_VARHIDDEN_faulty.rst
/usr/share/doc/verilator/docs/gen/ex_VARHIDDEN_msg.rst
/usr/share/doc/verilator/docs/gen/ex_WIDTH_1_faulty.rst
/usr/share/doc/verilator/docs/gen/ex_WIDTH_1_fixed.rst
/usr/share/doc/verilator/docs/gen/ex_WIDTH_1_msg.rst
/usr/share/doc/verilator/docs/guide
/usr/share/doc/verilator/docs/guide/changes.rst
/usr/share/doc/verilator/docs/guide/conf.py
/usr/share/doc/verilator/docs/guide/connecting.rst
/usr/share/doc/verilator/docs/guide/contributing.rst
/usr/share/doc/verilator/docs/guide/contributors.rst
/usr/share/doc/verilator/docs/guide/copyright.rst
/usr/share/doc/verilator/docs/guide/deprecations.rst
/usr/share/doc/verilator/docs/guide/environment.rst
/usr/share/doc/verilator/docs/guide/example_cc.rst
/usr/share/doc/verilator/docs/guide/example_common_install.rst
/usr/share/doc/verilator/docs/guide/example_dist.rst
/usr/share/doc/verilator/docs/guide/example_sc.rst
/usr/share/doc/verilator/docs/guide/examples.rst
/usr/share/doc/verilator/docs/guide/exe_sim.rst
/usr/share/doc/verilator/docs/guide/exe_verilator.rst
/usr/share/doc/verilator/docs/guide/exe_verilator_coverage.rst
/usr/share/doc/verilator/docs/guide/exe_verilator_gantt.rst
/usr/share/doc/verilator/docs/guide/exe_verilator_profcfunc.rst
/usr/share/doc/verilator/docs/guide/executables.rst
/usr/share/doc/verilator/docs/guide/extensions.rst
/usr/share/doc/verilator/docs/guide/faq.rst
/usr/share/doc/verilator/docs/guide/figures
/usr/share/doc/verilator/docs/guide/figures/fig_gantt_min.png
/usr/share/doc/verilator/docs/guide/files.rst
/usr/share/doc/verilator/docs/guide/index.rst
/usr/share/doc/verilator/docs/guide/install.rst
/usr/share/doc/verilator/docs/guide/languages.rst
/usr/share/doc/verilator/docs/guide/overview.rst
/usr/share/doc/verilator/docs/guide/simulating.rst
/usr/share/doc/verilator/docs/guide/verilating.rst
/usr/share/doc/verilator/docs/guide/warnings.rst
/usr/share/doc/verilator/docs/internals.rst
/usr/share/doc/verilator/docs/spelling.txt
/usr/share/doc/verilator/docs/verilated.dox
/usr/share/doc/verilator/docs/xml.rst
/usr/share/doc/verilator/examples
/usr/share/doc/verilator/examples/cmake_hello_c
/usr/share/doc/verilator/examples/cmake_hello_c/CMakeLists.txt
/usr/share/doc/verilator/examples/cmake_hello_c/Makefile
/usr/share/doc/verilator/examples/cmake_hello_sc
/usr/share/doc/verilator/examples/cmake_hello_sc/CMakeLists.txt
/usr/share/doc/verilator/examples/cmake_hello_sc/Makefile
/usr/share/doc/verilator/examples/cmake_protect_lib
/usr/share/doc/verilator/examples/cmake_protect_lib/CMakeLists.txt
/usr/share/doc/verilator/examples/cmake_protect_lib/Makefile
/usr/share/doc/verilator/examples/cmake_tracing_c
/usr/share/doc/verilator/examples/cmake_tracing_c/CMakeLists.txt
/usr/share/doc/verilator/examples/cmake_tracing_c/Makefile
/usr/share/doc/verilator/examples/cmake_tracing_sc
/usr/share/doc/verilator/examples/cmake_tracing_sc/CMakeLists.txt
/usr/share/doc/verilator/examples/cmake_tracing_sc/Makefile
/usr/share/doc/verilator/examples/make_hello_c
/usr/share/doc/verilator/examples/make_hello_c/Makefile
/usr/share/doc/verilator/examples/make_hello_c/obj_dir
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop.cpp
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop.h
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop.mk
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop__ALL.a
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop__ALL.cpp
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop__ALL.d
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop__ALL.o
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop__Syms.cpp
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop__Syms.h
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop___024root.h
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop___024root__DepSet_heccd7ead__0.cpp
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop___024root__DepSet_heccd7ead__0__Slow.cpp
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop___024root__Slow.cpp
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop__ver.d
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop__verFiles.dat
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/Vtop_classes.mk
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/sim_main.d
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/sim_main.o
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/verilated.d
/usr/share/doc/verilator/examples/make_hello_c/obj_dir/verilated.o
/usr/share/doc/verilator/examples/make_hello_c/sim_main.cpp
/usr/share/doc/verilator/examples/make_hello_c/top.v
/usr/share/doc/verilator/examples/make_hello_sc
/usr/share/doc/verilator/examples/make_hello_sc/Makefile
/usr/share/doc/verilator/examples/make_hello_sc/sc_main.cpp
/usr/share/doc/verilator/examples/make_hello_sc/top.v
/usr/share/doc/verilator/examples/make_protect_lib
/usr/share/doc/verilator/examples/make_protect_lib/Makefile
/usr/share/doc/verilator/examples/make_protect_lib/logs
/usr/share/doc/verilator/examples/make_protect_lib/logs/vlt_dump.vcd
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop.h
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop.mk
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop__ALL.a
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop__ALL.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop__ALL.d
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop__ALL.o
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop__Dpi.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop__Dpi.h
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop__Syms.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop__Syms.h
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop__Trace__0.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop__Trace__0__Slow.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop___024root.h
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop___024root__DepSet_h84412442__0.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop___024root__DepSet_h84412442__0__Slow.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop___024root__DepSet_heccd7ead__0.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop___024root__DepSet_heccd7ead__0__Slow.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop___024root__Slow.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop__ver.d
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop__verFiles.dat
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/Vtop_classes.mk
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/sim_main.d
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/sim_main.o
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/verilated.d
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/verilated.o
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/verilated_dpi.d
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/verilated_dpi.o
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/verilated_vcd_c.d
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir/verilated_vcd_c.o
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl.h
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl.mk
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl_PS9ZkS.h
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl_PS9ZkS__DepSet_h431a9413__0.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl_PS9ZkS__DepSet_h431a9413__0__Slow.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl_PS9ZkS__DepSet_had7a871e__0__Slow.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl_PS9ZkS__Slow.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl_PSc9na.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl_PSc9na.h
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl__ALL.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl__ALL.d
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl__ALL.o
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl__idmap.xml
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl__ver.d
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl__verFiles.dat
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/Vsecret_impl_classes.mk
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/libverilated_secret.a
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/libverilated_secret.so
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/verilated.d
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/verilated.o
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/verilated_secret.cpp
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/verilated_secret.d
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/verilated_secret.o
/usr/share/doc/verilator/examples/make_protect_lib/obj_dir_secret/verilated_secret.sv
/usr/share/doc/verilator/examples/make_protect_lib/secret_impl.v
/usr/share/doc/verilator/examples/make_protect_lib/sim_main.cpp
/usr/share/doc/verilator/examples/make_protect_lib/top.v
/usr/share/doc/verilator/examples/make_tracing_c
/usr/share/doc/verilator/examples/make_tracing_c/Makefile
/usr/share/doc/verilator/examples/make_tracing_c/Makefile_obj
/usr/share/doc/verilator/examples/make_tracing_c/input.vc
/usr/share/doc/verilator/examples/make_tracing_c/logs
/usr/share/doc/verilator/examples/make_tracing_c/logs/annotated
/usr/share/doc/verilator/examples/make_tracing_c/logs/annotated/sub.v
/usr/share/doc/verilator/examples/make_tracing_c/logs/annotated/top.v
/usr/share/doc/verilator/examples/make_tracing_c/logs/coverage.dat
/usr/share/doc/verilator/examples/make_tracing_c/logs/vlt_dump.vcd
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop.cpp
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop.h
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop.mk
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop__ALL.a
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop__ALL.cpp
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop__ALL.d
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop__ALL.o
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop__Syms.cpp
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop__Syms.h
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop__Trace__0.cpp
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop__Trace__0__Slow.cpp
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop___024root.h
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop___024root__DepSet_h84412442__0.cpp
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop___024root__DepSet_h84412442__0__Slow.cpp
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop___024root__DepSet_heccd7ead__0.cpp
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop___024root__DepSet_heccd7ead__0__Slow.cpp
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop___024root__Slow.cpp
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop__ver.d
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop__verFiles.dat
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/Vtop_classes.mk
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/sim_main.d
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/sim_main.o
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/verilated.d
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/verilated.o
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/verilated_cov.d
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/verilated_cov.o
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/verilated_vcd_c.d
/usr/share/doc/verilator/examples/make_tracing_c/obj_dir/verilated_vcd_c.o
/usr/share/doc/verilator/examples/make_tracing_c/sim_main.cpp
/usr/share/doc/verilator/examples/make_tracing_c/sub.v
/usr/share/doc/verilator/examples/make_tracing_c/top.v
/usr/share/doc/verilator/examples/make_tracing_sc
/usr/share/doc/verilator/examples/make_tracing_sc/Makefile
/usr/share/doc/verilator/examples/make_tracing_sc/Makefile_obj
/usr/share/doc/verilator/examples/make_tracing_sc/input.vc
/usr/share/doc/verilator/examples/make_tracing_sc/sc_main.cpp
/usr/share/doc/verilator/examples/make_tracing_sc/sub.v
/usr/share/doc/verilator/examples/make_tracing_sc/top.v
/usr/share/doc/verilator/examples/xml_py
/usr/share/doc/verilator/examples/xml_py/Makefile
/usr/share/doc/verilator/examples/xml_py/copied
/usr/share/doc/verilator/examples/xml_py/copied/sub.v
/usr/share/doc/verilator/examples/xml_py/copied/top.v
/usr/share/doc/verilator/examples/xml_py/graph.dot
/usr/share/doc/verilator/examples/xml_py/sub.v
/usr/share/doc/verilator/examples/xml_py/top.v
/usr/share/doc/verilator/examples/xml_py/vl_file_copy
/usr/share/doc/verilator/examples/xml_py/vl_hier_graph
/usr/share/licenses/verilator
/usr/share/licenses/verilator/Artistic
/usr/share/licenses/verilator/LICENSE
/usr/share/man/man1/verilator.1.gz
/usr/share/man/man1/verilator_coverage.1.gz
/usr/share/verilator
/usr/share/verilator/bin
/usr/share/verilator/bin/verilator_ccache_report
/usr/share/verilator/bin/verilator_includer
/usr/share/verilator/include
/usr/share/verilator/include/gtkwave
/usr/share/verilator/include/gtkwave/fastlz.c
/usr/share/verilator/include/gtkwave/fastlz.h
/usr/share/verilator/include/gtkwave/fst_config.h
/usr/share/verilator/include/gtkwave/fst_win_unistd.h
/usr/share/verilator/include/gtkwave/fstapi.c
/usr/share/verilator/include/gtkwave/fstapi.h
/usr/share/verilator/include/gtkwave/lz4.c
/usr/share/verilator/include/gtkwave/lz4.h
/usr/share/verilator/include/gtkwave/wavealloca.h
/usr/share/verilator/include/verilated.cpp
/usr/share/verilator/include/verilated.h
/usr/share/verilator/include/verilated.mk
/usr/share/verilator/include/verilated.v
/usr/share/verilator/include/verilated_config.h
/usr/share/verilator/include/verilated_config.h.in
/usr/share/verilator/include/verilated_cov.cpp
/usr/share/verilator/include/verilated_cov.h
/usr/share/verilator/include/verilated_cov_key.h
/usr/share/verilator/include/verilated_dpi.cpp
/usr/share/verilator/include/verilated_dpi.h
/usr/share/verilator/include/verilated_fst_c.cpp
/usr/share/verilator/include/verilated_fst_c.h
/usr/share/verilator/include/verilated_fst_sc.cpp
/usr/share/verilator/include/verilated_fst_sc.h
/usr/share/verilator/include/verilated_funcs.h
/usr/share/verilator/include/verilated_heavy.h
/usr/share/verilator/include/verilated_imp.h
/usr/share/verilator/include/verilated_intrinsics.h
/usr/share/verilator/include/verilated_profiler.cpp
/usr/share/verilator/include/verilated_profiler.h
/usr/share/verilator/include/verilated_save.cpp
/usr/share/verilator/include/verilated_save.h
/usr/share/verilator/include/verilated_sc.h
/usr/share/verilator/include/verilated_sym_props.h
/usr/share/verilator/include/verilated_syms.h
/usr/share/verilator/include/verilated_threads.cpp
/usr/share/verilator/include/verilated_threads.h
/usr/share/verilator/include/verilated_trace.h
/usr/share/verilator/include/verilated_trace_defs.h
/usr/share/verilator/include/verilated_trace_imp.h
/usr/share/verilator/include/verilated_types.h
/usr/share/verilator/include/verilated_vcd_c.cpp
/usr/share/verilator/include/verilated_vcd_c.h
/usr/share/verilator/include/verilated_vcd_sc.cpp
/usr/share/verilator/include/verilated_vcd_sc.h
/usr/share/verilator/include/verilated_vpi.cpp
/usr/share/verilator/include/verilated_vpi.h
/usr/share/verilator/include/verilatedos.h
/usr/share/verilator/include/vltstd
/usr/share/verilator/include/vltstd/svdpi.h
/usr/share/verilator/include/vltstd/vpi_user.h
/usr/share/verilator/verilator-config-version.cmake
/usr/share/verilator/verilator-config.cmake


Generated by rpm2html 1.8.1

Fabrice Bellet, Tue Apr 9 22:47:55 2024